VHDL ile SPI arayüzü gerçekleştirmek için nasıl?

Y

ymq8328

Guest
VHDL ile SPI arayüzü gerçekleştirmek için nasıl? Ben bir acemi için çok zor buluyorum. sizin yardımınıza ihtiyacımız var.
 
[Quote = ymq8328] VHDL ile bir SPI arayüzü gerçekleştirmek için nasıl? Ben bir acemi için çok zor buluyorum. sizin yardımınıza ihtiyacımız var. [/quote] bakın www.opencores.org
 
SPI Deratives sürü vardır. Yapılacak en iyi şey, sen Gereken SPI Format, Arayüz musunuz Cihaz Of formları incelemektir. VHDL C değil mi, So You Always Kendi Kod Yazmam Gerekir. SPI Çok Basit mi - Master And Köleler var mı, Data Sinyal biri gelsin Ve Tek Transmit Ayrıca Var mı, yok Tahkim Gerekli mi yüzden. Saat Free Running Olabilir, You So Quite Kolayca yaratabileceği. Sayaç ile. Yazma Ve Okuma zaman içinde zaman Veri Out Shift Olacak. Sen resimleri için yazın için 1 2 1 kaydeder kullanın mı, Sen De Daha Sonra 1 Dahili Adres ile Cihazlar ulaşmak için, Adres Kayıt Kullanmalı. Sen Farklı CS ~ Need Deices Arasında Seçilir. Sen Decoder Hastalarına oluşturun miyim. Shell Sahibi Veya Tüm bu görevler Denetim A State Machine ile Tasarım Realise için En Kolay Olacak.
 
Merhaba SPI çok çok basit bir seri iletişim kuralıdır. Kendi VHDL programı yazmak ve programlama karmaşıklık yok. Ben kolayca yerine Verilog daha VHDL programlanabilir düşünüyorum. Kodlama başlamadan önce protokol işlem donanım üstlenmeye çalışın. Çok basit bir kaydırıcı ve çoklayıcı / demultiplekser bloklar ile inşa edilebilir. Veri göndermek / recieve için, bir veri perticular biraz seçmek için çoklayıcı / demultiplekser kullanabilirsiniz. Ben kullanıma hazır IP uygulama için uygun olabilir sanmıyorum. Kendi deneyin. Vishwa Saygılar
 
SPI spec bakın ve orada pek çok uygulama vardır.
 
Herkes SPI arayüzü ile ilgili iyi bir kitap önerebilir?
 
Web üzerinde Xilinx IP çekirdek kütüphane arayabilirsiniz ... Bazı ücretsiz vhdl çekirdekler vardır ... SPI inclusive.
 
ymq8328 at: hala SPI bir VHDL kodu arıyorsunuz? Eğer bana bir özel mesaj göndermek istiyorsanız, SPI (VHDL yazdı) size benim sürüm gönderebilirsiniz. Saygılar Lukee
 
Sadece SPI spec geçmesi .... kod u opencores.org onu olabilir gerekirse
 
sombody SPI bağlanmak için VHD koduyla mee yardımcı olabilir, ben hoş sayesinde bunu whant
 
Merhaba, Şu anda, ben basit bir SPI tasarlıyorum. Bu 1-master ve 1-köle oluşur. Artı, bu SPI tasarımı benim ilk kez. Ben cevap shawndaking yararlı buldunuz ve o haklı. Ben internet üzerinde birçok bilgi toplandı. Başka bir şey, zamanlama diyagramı da önemlidir. Bir bulabilirsiniz Google kullanın. Ben SPI anlamak ve tasarlamak için böyle başlar. Bilginize, benim SPI için verilog kullanılır. İyi şanslar!
 
SPI3 ve SPI4.2 arasında ne fark vardır? im sadece 4 telli SDI, SDO SCK ve ac FPI kullanıyorum.
 
Herkes bir spi köle vhdl kodunu gönderebilirim? i ALTERA FPGA kullanıyorum. Teşekkürler, Reddy
 
Herkes bana vhdl çok basit bir spi uygulanması verebilir. Ben fpga4fun.com az bir tane buldum ama verilog olduğunu, ne gerek böyle bir şey, ama VHDL, herkes bir kod ile ya da bir çeviri ile bana yardımcı olabilir. http://www.fpga4fun.com/SPI2.html benim proje: i Pic18f ve fpga arasında bir iletişim gerekir fpga slave.I bunun için ihtiyacınız olduğunu. şimdiden teşekkürler.
 
Kimseye vhdl yazılmış basit bir spi arayüzü var mı. Fpga köle ve alıcı olduğunda gibi bir şey - Master veri aktarımı. Usta bir PIC mikrodenetleyici olduğunu. Ne saatler frecvencies?? pic saati (ve spi saat) tha fpga saati daha yavaştır. Fpga bu iyi bir versiyonu köle Fakat sanırım. bu doğru değil varsa bana bildirin.
 

Welcome to EDABoard.com

Sponsor

Back
Top