SRL, ROR, ... VHDL operatör

R

roger

Guest
Ben SRL, ROR, ... kullandığınızda VHDL modelsim5.8sb operatör # ** Hata gösterir:. / rtl / SPI_Model.vhd (136): infix op hiçbir uygulanabilir girdiler: "srl". # ** Hata:. / Rtl / SPI_Model.vhd (136): infix ifade çözme Türü hatası. # ** Hata:. / Rtl / SPI_Model.vhd (146): VHDL derleyicisi # ** Hata çıkarken: C :/ Modeltech_5.8b/win32/vcom başarısız oldu. Ben eklemek gerekir, hangi paket, bunu kullanıma ieee.std_logic_1164.all eklemiş; kullanımı ieee.std_logic_arith.all; kullanımı ieee.std_logic_unsigned.all; kullanımı ieee.numeric_std.all; ama başaramadı
 
VHDL böyle işlem yok, ben VHDL var ne düşünüyorsun ieee.std_logic_arith bölgesi imzalı veya imzasız numarası ve ieee.numeric_bit kullanmak olabilir SHL shr shift_right / shift_left rotate_left / sağ Saygılarımızla bulunmasidir
 
Douglas J Smith sayfa 69 tarafından "HDL Yonga tasarımı" adlı bir referans kitabı SLL SRL operatörü örnek içerir, ancak bölümün örnekler çalıştırılabilir iseniz ieee.numeric_std.all, ben wondwr içerir
 
[Quote = gerade] VHDL tür operasyon yok, ben VHDL ieee.std_logic_arith içinde ne düşünüyorsun imzalı veya imzasız numarası için kullanmak olabilir SHL shr ve ieee.numeric_bit yılında shift_right / shift_left rotate_left / sağ Saygılarımızla [/ vardır quote] Ben bu paketi ekleyin ama hala aynı mesajı gösteriyor ki! Benim ModelSim falan nesi var?
 
Kullanım ieee.std_logic_1164.all;; kullanımı ieee.std_logic_arith.all; kullanımı ieee.numeric_std.all ve founf `d aynı durum, neden? Sadece kütüphane ieee ekleyin
 
Bunun yerine tüm bu zorlukların ... u gibi basit mantığı kullanabilirsiniz ... u sola kaydırma yapmak istiyorsanız .. sonra ur sinyali 8 bit vektörlerin olduğunu söylüyor .. ve ur inoput sonra tek biraz .. outdatareg (1 downto 7)
 
[Quote = jay_ec_engg] yerine tüm bu zorluklara ... u gibi basit mantığı kullanabilirsiniz ... u sola kaydırma yapmak istiyorsanız .. sonra ur sinyali 8 bit vektörlerin olduğunu söylüyor .. ve ur inoput sonra tek biraz .. outdatareg (1 downto 7)
 
O VHDL sürümü bir sorun mudur? '87 Veya '93 .. cüzleri seçeneği değiştirmek ve görmek.
 
VHDL'93 Onun sorunu .... ALTERA IEEE93 standartlarının tüm özelliklerini destekleyen değildir ..... u cant onlar "BT 93 sürümü ile çalışacak" diyor bazı iyi fuinctions kullanabilirsiniz ... Xilinx veya otehr araçlarını jay bilmediğin
 
Roger, sana sorun SRL işlevi tanıdığı bir veri türü geçirerek olmadığınız olabilir düşünüyorum. Ben sadece biraz vektörleri desteklemektedir inanıyoruz. Bir std_logic_vector kullanmak isterseniz böyle bir şey yapmak zorunda olurdu: to_stdlogicvector (to_bitvector (wrptr2) srl i)
 

Welcome to EDABoard.com

Sponsor

Back
Top