VHDL jenerik vs sabiti?

S

SphinX

Guest
Merhaba VHDL Beyler, arasındaki fark nedir [color = red] generic [/color] ve [color = red] sabiti [/color]? I sabit değil jenerik gibi varlık architecure yalnızca bedene ilan olduğunu biliyorum tek fark. Ama onlar (model statik bir "sabit" bilgi sağlamak) aynı uygulama var! Peşin Sphinx teşekkürler
 
Iyi VHDL uygulamalar sabitler küresel kapsam için paketler bildirilmiştir yılında jenerik bir paketin içine ilan edilemez. Jenerik demek, yani başına sabitleri yerini almamalıdır, sen genel olarak yazın std_logic_vector bir vektör bildirmek istemiyorum. Birçok araçları INTEGER generics sadece kullanmak izin verir. Jenerik türü TIME şey bildirmek örneğin simülasyon için iyidir. Farklı gecikmeler ile aynı cihaz çeşitli modelleri tanımlamak isteyebilirsiniz nerede Jenerik muhtemelen en iyi KONFİGÜRASYONU arasında birlikte kullanılır. Sabitler onların değerini son anda bağlamaları için tüm tasarım compliing olmadan paket gövdesi içinde değiştirilebilir olduğunu ertelenebilir. Bu jenerik yapılamaz. Tüm simülatörleri jenerik anlaştım. Hikayenin Sonuç: Jenerikler sabitleri bir yedek sabit sadece özel bir tür değildir ve dikkatle kullanılması gerekir.
 
Oops generics hakkında pek çok eksiklikleri vardır bilmiyordum. Teşekkürler bilgilendirme için gecikme
 
Bahsettiğiniz gibi Merhaba, Jenerik varlık beyan edilebilir. Bir bileşenin birden fazla örneğini yaptığınızda Bu temelde kullanışlıdır. Bir bileşenin birden fazla örneğini durumunda her bir örnek için jenerik farklı değeri olabilir. Bunun için basit bir VE kapısı (sadece örnek için) ve bileşen örneğini VE kapısı jenerik onun Yayılma Gecikmesi için ilan vardır istiyorsanız söyleyin: bir örnek almak sağlar. Yani her bir örnek için yayılma gecikmesi farklı değeri olabilir. Jenerik değerine örneği belirtilen değilse o zaman varsayılan değeri bu AND kapısı varlık belirtebileceğiniz kullanılır. Bu örneği sırasında belirtilen jenerik değeri bu örneği için sabittir. ===== Şimdi söylemek yerine sizin varlık VE kapısı sürekli bir ilan ettik jenerik sağlar. Bu durumda her bir örnek için yayılım gecikme farklı bir değere sahip olamaz. =====
 
Jenerik programlama yapılandırılmakta için hizmet vermektedir. Paket Sabitler değişimi yasaktır ortak değişkenler gibi görünüyor. Jenerik sabitler ile ikame durumunda derleme proje daha basittir, ancak proje örneklerini bir yeri vardır, o zaman bu tür sabitlerin sayısı çok yüksek olduğunu ve onları ağır bir görevdir takip etmek.
 
Hey guys ben bu ile ilgili bir sorum vardı. Eğer bir varlık birden generics kullanabilir miyim?
 
Evet. İstediğiniz kadar istersen türü gibi birçok jenerik olabilir.
 

Welcome to EDABoard.com

Sponsor

Back
Top