rastgele Verilog kaynak kodu

Burada bir karışıklık var. u iç yapısı çıkıyor ne saics thnat iç yapısı veya max +2 gibi bazı yazılımlar daha ilgili bir şey soruyorsunuz. plz bu temizleyin ama her durumda u bulacaksınız bu yararlı [color = red] 1 uyarı (-5 mesaj, - 20 puan), eki 1 silindi - Bu konu isteği ile hiçbir ortak 2 yapılmış bulunmaktadır - Bu dosya elektroda 1000 kez yüklendi. Ve en önemlisi web üzerinde serbestçe availlable öyle! [/color]
 
yemini bir dönem içinde bir bütün derslerinden daha thats. iyi u asychronous tasarımı üzerine Te e-kitap bir yeri vardır bu sitede bir sürü bulabilirsiniz ve aynı zamanda da faydalı olacak bu ek kontrol edebilirim. i u rfeally i 20mb hakkında asychronous tasarım verilerinin oldukça büyük bir miktar, gördük düşünüyorum bu sitenin becaz kontrol gerektiğini düşünüyorum. ve u becaz ne yapıyorsun arada benim tabii hepsini çalışmadılar.
 
[Quote = Benny] kim gönderebilir miyim? [/Quote] Benny Merhaba, rasgele sayı üreteci mi demek istiyorsun?
 
Sadece istediğiniz bir rasgele sayı üreteci ise Merhaba Benny, ekli 64 bit paralel çıkış üreten 15-bit polinomu ile bir sözde rasgele sayı
 
Merhaba, nasıl gerçek rasgele sayı üreteci hakkında? Demek istediğim, bazı gerçek rastgele tohum dış okumalıdır düşünüyorsun? Thx.
 
Ben gerçek bir rasgele sayı gibi bir şey düşünüyorum. rasgelelik tohum değeri uzunluğuna bağlıdır ve polinom kullanılır. Kullanılan tohum değeri ne olursa olsun, rasgele bir desen üreteci üretebilirsiniz rasgele bir desen polinom bağlı olarak aynı olacaktır. Tohum değeri sadece rasgele sayı başlangıç ​​değerini tanımlar. Birisi ben yanlış olduğumu beni lütfen düzeltin
 
It_boy Merhaba, hmm, belki de haklısın. Ne kaynak beyaz bir gürültü ya da sth dan ise. Beğendin mi?
 
Diğer sözde rasgele sayı üreteçleri sadece simülasyon için ise LFSR synthesisable olduğunu.
 
Gerçekten rastgele bir jeneratör, bir synthesible dijital tasarım ... nefes gibi çıkmak kesinlikle imkansız ...
 
Nasıl rastgele nedir? Ben değeri tekrar kaç numarasını tekrar edilecek mi?
 
Sevgili tüm, herkes Rastgele sayı üreteci ve Rastgele sayı doğrulayıcıyı için kodu veya bilgi vardır. Ben transmiter 8-bit PRBS ve alıcı PRBS doğrulama vardır alıcı için dizayn ettik. Herhangi bir bilgi çok takdir edilmektedir. Şimdiden teşekkürler. Saygılar, alwys @ akıllı
 
"Dijital Sistemi Hızlı Prototipleme" Altera, Xilinx arasında "HDL Chip Design" Uygulama Notu: Linear Feedback Shift Siz kitapta bulabilirsiniz Pseudo Random İkili Sıralı Kayıt.
 
Ben bir geri döngü testi için PRBS jeneratör ve analizörü tasarlamak gerekir. Biraz bilgi alabilirsiniz herhangi bir fikir?
 
Ben rasgele sayı generare için basit bir LFSR kullanmak var. FFT (db vs frekans güç) geçmesine rasgele sayı ayarlayın. Ancak, frekans yanıtı düşük frekans artmaktadır. Sonra sadece yüksek frekans için kabaca düz korumak. Düşük frekans artmaktadır ile herhangi bir sorun var mı? Cevabınız evet ise ben neden biliyor olabilir? Bilginize, beyaz gürültü frekans yanıtı çok yüksek frekans için düşük neredeyse boşalmıştır. Teşekkürler
 

Welcome to EDABoard.com

Sponsor

Back
Top